CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA 显示 字符

搜索资源列表

  1. VGA

    0下载:
  2. FPGA驱动VGA接口显示字符
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2053
    • 提供者:随风
  1. vga_display.rar

    0下载:
  2. VGA controller源码及显示汉字和ascii字符的c代码实例,已在DE2-70上实现,vga_controller source code and c code which can display chinese charactors and ASCII code on the VGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:304441
    • 提供者:
  1. Verilog-vga

    0下载:
  2. 基于Verilog的VGA显示汉字、字符的例子以及vga资料-Verilog' s VGA display Chinese characters based on the character of the examples and information vga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1349724
    • 提供者:江平
  1. VGA_char_ROM_success

    0下载:
  2. Verilog HDL语言编写的基于M4K块配置ROM的字符数据存储VGA显示实验代码,引脚分配适用于21EDA的EP2C8Q208开发板, 详细解说请参见特权同学《深入浅出玩转FPGA》视频教程中的《Lesson30:SF-EP1C开发板实验9——基于M4K块配置ROM的字符数据存储VGA显示实验》-experimental code written in Verilog HDL language,ROM configuration based on M4K block for the cha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:784897
    • 提供者:LM
  1. CPLd-vga

    0下载:
  2. 基于cpld的lcd控制器实现中英文字符和图像的显示-Based on the lcd controllers cpld English characters and images in the display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1935
    • 提供者:cdh
  1. 8052-vga

    0下载:
  2. 用VHDL语言设计的,可以用显示器显示汉字或者字符的源码程序-Designed with the VHDL language can be used to display Chinese characters or character of the source program! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4139
    • 提供者:江平
  1. vga800-600

    0下载:
  2. Verilog语言实现的 VGA 显示器的 汉字和字符显示!!已经编译成功,可以直接使用-VGA monitor implementation of Verilog language and character display Chinese characters! ! Has been successfully compiled, you can directly use! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:561579
    • 提供者:江平
  1. vga-dis

    0下载:
  2. 用Verilog语言设计的基于VGA显示器的汉字和字符显示!已经编译成功,可以直接使用-VHDL language design with VGA display based on characters and character display! Has been successfully compiled, you can directly use! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:345466
    • 提供者:江平
  1. VGA

    0下载:
  2. 可以实现VGA显示器的汉字和字符显示,已经编译成功,可以直接使用-VGA monitor can display Chinese characters and character have been successfully compiled, you can directly use! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:44860
    • 提供者:江平
  1. VGAzifuxianshi

    0下载:
  2. 用VERILOG编写的VGA字符显示,可以在电脑屏幕上显示字符,已通过测试-Prepared with the VERILOG VGA character display, can display characters on a computer screen, has been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:8434
    • 提供者:闫碎猴
  1. VGA-character-display-VHDL

    0下载:
  2. VGA字符显示,能能在显示字符,介绍了简单的字符显示原理,VHDL写的-VGA character display that can display characters in, introduced the principle of a simple character display, VHDL written
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:173443
    • 提供者:刘渝
  1. VGA

    0下载:
  2. 针对FPGA,可以实现VGA显示。可以显示数字,字符,汉子。可以调节屏幕颜色和大小。-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-05
    • 文件大小:966212
    • 提供者:郭艳亮
  1. EP2C8-2010_FPGA

    0下载:
  2. EP2C208C8 FPGA开发源代码(芯蓝C8板) turn_on_led 点亮LED sw_led 拨动开关控制LED rider_led 跑马灯 water_led 流水灯 key_led_without_debounce 轻触开关控制LED,无按键去抖 key_led_with_debounce 轻触开关控制LED,有按键去抖 seg7x8_dynamic_disp 七段数码管动态显示 matrixKeyb
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3846240
    • 提供者:wqc
  1. vga

    0下载:
  2. 利用FPGA控制VGA显示器显示字符汉字的程序,里面有注释。-VGA display with FPGA control procedures Kanji characters, there are comments.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-29
    • 文件大小:11961662
    • 提供者:xingxiao
  1. zifu

    0下载:
  2. 关于用vga显示字符的一段程序,verilog-this code is about the display of Character
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3269
    • 提供者:
  1. vgachar

    0下载:
  2. VGA显示字符,自己试验过,完全可以用,是verilog的-VGA display characters
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:412579
    • 提供者:zhangqi
  1. realization-of-VGA-display-with-FPGA

    0下载:
  2. <用FPGA实现VGA显示> 摘要:本文介绍了一种用FPGA结合DDR SDRAM和单片机,在VGA显示器上显示字符、图形信息的方法。-The realization of VGA display with FPGA
  3. 所属分类:Project Design

    • 发布日期:2017-04-01
    • 文件大小:239948
    • 提供者:zblinux
  1. LCD_TERM_51_V4.1---VGA

    1下载:
  2. VGA显示控制 51单片机控制VGA显示器的显示输出,可以显示直线圆椭圆等等几何图形 一般的显示字符西文字符串都包含在内 颜色可调-VGA display control 51 single-chip control VGA display output, can display geometry of the straight line circular elliptical and so on general display ASCII strings are included color
  3. 所属分类:SCM

    • 发布日期:2017-04-30
    • 文件大小:393626
    • 提供者:XHL
  1. vga

    0下载:
  2. fpga实现vga显示字符,使用Verilog,需要修改(vga is in the platform of fpga)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:1024
    • 提供者:TonyTom
  1. VerilogVGA显示 汉字 字

    0下载:
  2. 基于Verilog的VGA显示 汉字 字符 的例子,产生字模,用于vga显示,语言verilog,基于fpgaDE2-115开发板(Which font for the VGA display)
  3. 所属分类:图形图像处理

    • 发布日期:2018-05-01
    • 文件大小:1349632
    • 提供者:asusua
« 12 3 »
搜珍网 www.dssz.com